当前位置: 首页 > news >正文

behance设计官网下载图片seo优化是什么意思

behance设计官网下载,图片seo优化是什么意思,网站开发与建设方向,网站空间1g多少钱牛客网Verilog刷题——VL52 题目答案 题目 请编写一个十进制计数器模块,当mode信号为1,计数器输出信号递增,当mode信号为0,计数器输出信号递减。每次到达0,给出指示信号zero。模块的接口信号图如下: 模块的…

牛客网Verilog刷题——VL52

  • 题目
  • 答案

题目

  请编写一个十进制计数器模块,当mode信号为1,计数器输出信号递增,当mode信号为0,计数器输出信号递减。每次到达0,给出指示信号zero。模块的接口信号图如下:

在这里插入图片描述
  模块的时序图如下:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
modewireInput1模式选择信号,当该信号为1,计数器每个时钟加一;为0,则每个时钟减一
numberregOutput44比特位宽,计数器当前输出读数
zeroregOutput1过零指示信号,当number为0时,该信号为1,其他时刻为0

答案

`timescale 1ns/1nsmodule count_module(input clk,input rst_n,input mode,output reg [3:0]number,output reg zero);reg [3:0] num;always @(posedge clk or negedge rst_n)if(!rst_n)num <= 4'd0;else if(mode == 1)if(num == 4'd9)num <= 4'd0;elsenum <= num + 1'd1;else if(mode == 0)if(num == 4'd0)num <= 4'd9;elsenum <= num - 1'd1;elsenum <= num;always @(posedge clk or negedge rst_n)if(!rst_n)zero <= 1'b0;else if(num == 4'd0)zero <= 1'b1;elsezero <= 1'b0;always @(posedge clk or negedge rst_n)if(!rst_n)number <= 4'd0;elsenumber <= num;endmodule
http://www.mmbaike.com/news/23051.html

相关文章:

  • 怎么在vps上做网站线下推广团队
  • 聊城做网站公司信息百度服务中心人工客服
  • 深圳麒麟网站建设百度网络营销的概念
  • 深圳服务好的网站建设宁波正规seo推广
  • 免费建站 永久seo网页优化工具
  • 深圳宣传片制作设计百度seo详解
  • 四川建设银行手机银行下载官方网站正规营销培训
  • 万网上传网站搜索引擎营销的五大特点
  • 网站建站网站jp586 vip百度seo推广工具
  • 做网站哪里比较好百度一下首页登录入口
  • 网站开发需要什么东西少儿培训
  • 网页制作与网站建设项目教程简单的个人主页网站制作
  • 国外的电商网站太原做网络推广的公司
  • 集群网站开发宣传链接怎么做
  • 石家庄网站建设联系方式永久免费用的在线客服系统
  • 天河做网站哪家好网站推广模式
  • 网站301重定向代码网站优化排名推荐
  • 长沙县好的建站按效果付费长沙seo智优营家
  • 湘阴网站设计如何创建微信小程序
  • 深圳市建设主管部门门户网站东莞网站推广公司黄页
  • 如何做推广麦当劳的网站大数据营销
  • 网站工作室搜索量查询百度指数
  • android开发基础百度seo提高排名费用
  • 邯郸市做网站社交网络的推广方法有哪些
  • 建设项目审批后公示在哪个网站汕头seo不错
  • wordpress 加跳板seo长尾快速排名
  • 如何给网站添加网站地图西安百度seo推广
  • wordpress网站如何加百度搜索怎样建立一个自己的网站
  • 企业怎么在网站上做宣传免费b站推广网站入口2020
  • 企业门户网站建设方案后台管理百度竞价排名怎么收费