当前位置: 首页 > news >正文

哈尔滨十大广告公司seo排名优化什么意思

哈尔滨十大广告公司,seo排名优化什么意思,wordpress腾讯地图插件下载失败,网站建设公司能力要求N位分频器的实现 一、 目的 使用verilog实现n位的分频器,可以是偶数,也可以是奇数 二、 原理 FPGA中n位分频器的工作原理可以简要概括为: 分频器的作用是将输入时钟频率分频,输出低于输入时钟频率的时钟信号。n位分频器可以将输入时钟频率分频2^n倍…

N位分频器的实现

一、 目的

使用verilog实现n位的分频器,可以是偶数,也可以是奇数

二、 原理

FPGA中n位分频器的工作原理可以简要概括为:

  1. 分频器的作用是将输入时钟频率分频,输出低于输入时钟频率的时钟信号。
  2. n位分频器可以将输入时钟频率分频2^n倍。
  3. n位分频器主要由n个触发器级联组成。
  4. 第一个触发器时钟输入接入输入时钟,数据端接D=0。
  5. 后级触发器的时钟依次接前一级触发器的反相Q信号。
  6. 通过这种级联,将输入时钟周期分成2^n个阶段。

7.最后一个触发器Q输出即为分频之后的时钟信号。

  1. 更改计数器位数n,可以灵活改变分频比例。
  2. 分频器广泛应用于计数器、时序逻辑的时钟分频等场景。

所以n位分频器通过n个触发器的级联,利用反相Q输出产生2^n分频效果,是FPGA时钟管理中的重要组成部分。

三、 系统架构设计

工程模块划分及说明

image-20230722151641299

四、 代码实现

1. 模块端口信号列表

module divider #(parameter N = 9)(input wire clk,input wire rst_n,output wire out
);
reg[N:0] cnt1;//上升沿计数器
reg[N:0] cnt2;//下降沿计数器
wire add_cnt1;//上升沿开始条件
wire end_cnt1;//上升沿结束条件
wire add_cnt2;//下降沿开始条件
wire end_cnt2;//下降沿结束条件
always @(posedge clk or negedge rst_n) beginif(~rst_n) begincnt1<='d0;endelse if(add_cnt1)beginif(end_cnt1)begincnt1 <='d0;endelse begincnt1 <= cnt1 + 1'b1;endendelse begincnt1<=cnt1;end
endalways @(negedge clk or negedge rst_n) beginif(~rst_n) begincnt2<='d0;endelse if(add_cnt2)beginif(end_cnt2)begincnt2 <='d0;endelse begincnt2 <= cnt2 + 1'b1;endendelse begincnt2<=cnt2;end
endassign add_cnt1 = 1'b1;
assign end_cnt1 = add_cnt1 && cnt1 == N;
assign add_cnt2 = 1'b1;
assign end_cnt2 = add_cnt2 && cnt2 == N;assign out = (N%2==0)?((cnt2<=(N>>1))&&(cnt1<=(N>>1))?1'b0:1'b1):((cnt1<=(N>>1)?1'b0:1'b1);endmodule

测试文件:

module driver_n_tb();
reg clk;
reg rst_n;
wire out;
parameter SYS_CLK = 20;
parameter N = 8;
always #(SYS_CLK/2) clk = ~clk;initial beginclk=1'b0;rst_n=1'b0;#(2*SYS_CLK+10);rst_n=1'b1;#(100*SYS_CLK);$stop;
end
divider #(.N(N)) inst_divider_n (.clk(clk), .rst_n(rst_n), .out(out));endmodule

五. 时序及仿真结果

偶数:image-20230722152707689

奇数:

image-20230722152731878

五、 仿真波形图

偶数:image-20230722153104983

奇数:image-20230722153124078

http://www.mmbaike.com/news/29693.html

相关文章:

  • 做网站python好还是javaseo网站优化专员
  • 做社交网站框架百度营销平台
  • 系统没有安装wordpressseo推广软件排行榜前十名
  • 淘宝做网站靠谱吗深圳外贸seo
  • 学了dw 就可以做网站了吗最新新闻热点事件
  • 网站备案年限查询太原seo团队
  • 蓝色手机网站模板域名注册管理中心网站
  • 网站反链暴增怎么回事seo网站优化服务商
  • 怎样用前端知识制作企业网站网络培训研修总结
  • 接软件开发项目的平台北京谷歌seo
  • 黄石有哪些做视觉网站的公司百度写一篇文章多少钱
  • 广州开发区控股集团有限公司什么叫做优化
  • 日本图形设计网站长沙专业seo优化公司
  • 网站建设的前景读书网站排名
  • 建设个人网站需要备案吗如何做一个自己的网站呢
  • 网站数据库连接出错龙岩网站推广
  • 网站建设外包 排名品牌推广的目的和意义
  • wordpress 什么编辑器关键词优化如何
  • seo辉煌电商平台郑州seo顾问外包
  • 深圳网站建设推广公司西安seo全网营销
  • 重庆 网站定制软件开发公司推荐
  • 做今日头条的网站免费大数据网站
  • 酒店电子商务网站策划书24小时免费看的视频哔哩哔哩
  • 科技特长生包括哪些项目佛山百度网站排名优化
  • 上海做小程序pc网站优化排名
  • 深圳市建设工程质量检测网站推广拉新app哪几个靠谱
  • 模版网站搭建杭州关键词推广优化方案
  • 连云港 网站 建设实时热点新闻事件
  • 做软装有什么网站找图片关于手机的软文营销
  • 平潭做网站百度竞价广告点击器